当前位置: 首页 » 翻译园地 » 360行翻译 » 半导体专业词汇英译

半导体专业词汇英译

发布日期:2017-02-05      阅读数:2046 次



1. acceptance testing (WAT: wafer acceptance testing) 验收测试(晶圆/晶片验收测试)

2. acceptor: 受主,如B,掺入Si中需要接受电子
3. ACCESS:一个EDA(Engineering Data Analysis)系统:一个EDA(工程数据分析)
4. Acid:酸
5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)
6. Align mark(key):对位标记
7. Alloy:合金
8. Aluminum:铝
9. Ammonia:氨水
10. Ammonium fluoride:NH4F 氟化铵
11. Ammonium hydroxide:NH4OH 氢氧化铵
12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)
13. Analog:模拟的
14. Angstrom:A(1E-10m)埃
15. Anisotropic:各向异性,非均质(如POLY ETCH)
16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)
17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)
18. Antimony(Sb)锑
19. Argon(Ar)氩
20. Arsenic(As)砷
21. Arsenic trioxide(As2O3)三氧化二砷
22. Arsine(AsH3) 三氢砷化
23. Asher:去胶机
24. Aspect ration:形貌比(ETCH中的深度、宽度比)
25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)
26. Back end:后段(CONTACT以后、PCM测试前)
27. Baseline:标准流程
28. Benchmark:基准
29. Bipolar:双极
30. Boat:扩散用(石英)舟
31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。
32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。
33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。
34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。
35. Chip:碎片或芯片。
36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。
37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。
38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。
39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。
40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。
41. Computer-aided design(CAD):计算机辅助设计。
42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。
43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。
44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。
45. Correlation:相关性。
46. Cp:工艺能力,详见。
47. Cpk:工艺能力指数。
48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。
49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。
50. Defect density:缺陷密度。单位面积内的缺陷数。
51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)
52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。
53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。
54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。
55. Depth of focus(DOF):焦深。
56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。
57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)
58. developer:Ⅰ)显影设备; Ⅱ)显影液
59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源
60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。
61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。
62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。
63. dielectric:Ⅰ)介质,一种绝缘材料; Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。
64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。
65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。
66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。
67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。
68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。
69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。
70. epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导体材料,这一单晶半导体层即为外延层。
71. equipment downtime:设备状态异常以及不能完成预定功能的时间。
72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。
73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。
74. fab:常指半导体生产的制造工厂。
75. feature size:特征尺寸,指单个图形的最小物理尺寸。
76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。
77. film:薄膜,圆片上的一层或多层迭加的物质。
78. flat:平边
79. flatband capacitanse:平带电容
80. flatband voltage:平带电压
81. flow coefficicent:流动系数
82. flow velocity:流速计
83. flow volume:流量计
84. flux:单位时间内流过给定面积的颗粒数
85. forbidden energy gap:禁带
86. four-point probe:四点探针台
87. functional area:功能区
88. gate oxide:栅氧
89. glass transition temperature:玻璃态转换温度
90. gowning:净化服
91. gray area:灰区
92. grazing incidence interferometer:切线入射干涉仪
93. hard bake:后烘
94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法
95. high-current implanter:束电流大于3ma的注入方式,用于批量生产
96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒
97. host:主机
98. hot carriers:热载流子
99. hydrophilic:亲水性
100. hydrophobic:疏水性
101. impurity:杂质
102. inductive coupled plasma(ICP):感应等离子体
103. inert gas:惰性气体
104. initial oxide:一氧
105. insulator:绝缘
106. isolated line:隔离线
107. implant : 注入
108. impurity n : 掺杂
109. junction : 结
110. junction spiking n :铝穿刺
111. kerf :划片槽
112. landing pad n :PAD
113. lithography n 制版
114. maintainability, equipment : 设备产能
115. maintenance n :保养
116. majority carrier n :多数载流子
117. masks, device series of n : 一成套光刻版
118. material:原料
119. matrix:矩阵
120. mean: 平均值
121. measured leak rate:测得漏率
122. median:中间值
123. memory: 记忆体
124. metal:金属
125. nanometer (nm):纳米
126. nanosecond (ns):纳秒
127. nitride etch:氮化物刻蚀
128. nitrogen (N2 ):氮气,一种双原子气体
129. n-type:n型
130. ohms per square:欧姆每平方: 方块电阻
131. orientation n:晶向,一组晶列所指的方向
132. overlap:交迭区
133. oxidation:氧化,高温下氧气或水蒸气与硅进行的化学反应
134. phosphorus (P):磷 ,一种有毒的非金属元素
135. photomask:光刻版,用于光刻的版
136. photomask, negative:反刻
137. images:去掉图形区域的版
138. photomask, positive:正刻
139. pilot:先行批,用以验证该工艺是否符合规格的片子
140. plasma:等离子体,用于去胶、刻蚀或淀积的电离气体
141. plasma-enhanced chemical vapor deposition (PECVD):等离子体化学气相淀积,低温条件下的等离子淀积工艺
142. plasma-enhanced TEOS oxide deposition:TEOS淀积,淀积TEOS的一种工艺
143. pn junction:pn结
144. pocked bead:麻点,在20X下观察到的吸附在低压表面的水珠
145. polarization:偏振,描述电磁波下电场矢量方向的术语
146. polycide:多晶硅 /金属硅化物, 解决高阻的复合栅结构
147. polycrystalline silicon (poly):多晶硅,高浓度掺杂(>5E19)的硅,能导电。
148. polymorphism:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象
149. prober:探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。
150. process control:过程控制。半导体制造过程中,对设备或产品规范的控制能力。
151. proximity X-ray:近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。
152. pure water: 纯水。半导体生产中所用之水。
153. quantum device:量子设备。一种电子设备结构,其特性源于电子的波动性。
154. quartz carrier:石英舟。
155. random access memory (RAM):随机存储器。
156. random logic device:随机逻辑器件。
157. rapid thermal processing (RTP):快速热处理(RTP)。
158. reactive ion etch (RIE): 反应离子刻蚀(RIE)。
159. reactor:反应腔。反应进行的密封隔离腔。
160. recipe:菜单。生产过程中对圆片所做的每一步处理规范。
161. resist:光刻胶。
162. scanning electron microscope (SEM):电子显微镜(SEM)。
163. scheduled downtime: (设备)预定停工时间。
164. Schottky barrier diodes:肖特基二极管。
165. scribe line:划片槽。
166. sacrificial etchback:牺牲腐蚀。
167. semiconductor:半导体。电导性介于导体和绝缘体之间的元素。
168. sheet resistance (Rs) (or per square):薄层电阻。一般用以衡量半导体表面杂质掺杂水平。
169. side load: 边缘载荷,被弯曲后产生的应力。
170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片
171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。
172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。
173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。
174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。
175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。
176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。
177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。
178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚到达特定地带的那个时刻之间的时间。
179. stepper: 步进光刻机(按BLOCK来曝光)
180. stress test: 应力测试,包括特定的电压、温度、湿度条件。
181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。
182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。
183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。
184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。
185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。
186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。
187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。
188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。
189. titanium(Ti): 钛。
190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。
191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。
192. tungsten(W): 钨。
193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。
194. tinning: 金属性表面覆盖焊点的薄层。
195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。
196. watt(W): 瓦。能量单位。
197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。
198. wafer process chamber(WPC): 对晶片进行工艺的腔体。
199. well: 阱。
200. wet chemical etch: 湿法化学腐蚀。
201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。
202. via: 通孔。使隔着电介质的上下两层金属实现电连接。
203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。
204. torr : 托。压力的单位。
205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。
206. vacuum: 真空。

207. transition metals: 过渡金属。


心译翻译工作室



»郑重声明:本网站文章均来源于原创和网络转载,所有内容仅代表个人观点。版权归心译翻译工作室和文章所有人共有,欢迎转载。但未经作者同意转载必须保留此段声明,并给出文章链接,否则我们保留追究法律责任的权利!如果本文侵犯了您的权益,请联系我们。
  浏览首页 加入我们 联系我们 合作公司